當前位置:編程學習大全網 - 編程軟體 - 用verilog編程,如何四個八位數碼管上顯示不同的數字?

用verilog編程,如何四個八位數碼管上顯示不同的數字?

雖然是2014年的問題,但是我現在也遇到了這個麻煩,回答留在這幫助壹下以後遇到這個問題的人。同時顯示不同的數我能想到壹種方法,但是需要的是fpga的支持,這種方法需要在每個數碼管前面放壹個鎖存器,用這個鎖存器向這個數碼管提供顯示數據,當需要修改數碼管顯示的時候,更新鎖存器內容就行了,這種方式也稱為直流法(直接驅動);還有壹種不同時顯示但是可以給人壹種同時顯示的感覺,那就是先顯示第壹個,再顯示第二個,再顯示第三個,再顯示第四個,只要時間間隔夠短,在人們看來就是同時顯示,這種方法不需要鎖存器的支持,因為我們本來就是壹次顯示壹個,這種方式稱為動態掃描

  • 上一篇:安捷倫11713b衰減器是做什麽用的
  • 下一篇:上海浩思信息技術有限公司臺州分公司招聘信息,上海浩思信息技術有限公司臺州分公司怎麽樣?
  • copyright 2024編程學習大全網