當前位置:編程學習大全網 - 編程軟體 - 急!!!求壹個VHDL編程把壹個高脈沖變成12MHZ的時鐘

急!!!求壹個VHDL編程把壹個高脈沖變成12MHZ的時鐘

妳的高頻脈沖其頻率有多高?設計壹個分頻器,分頻系數n=高頻脈沖的頻率f/12MHz。

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity div is

generic(n:integer :=20);

port (clk:in std_logic;

q:out std_logic);

end div;

architecture behave of div is

signal count :integer range n-1 downto 0:=n-1;

begin

process(clk)

begin

if (clk'event and clk='1' and clk'last_value ='0') then

count<=count-1;

if count>=n/2 then

q<='0';

else

q<='1';

end if;

if count<=0 then

count<=n-1;

end if;

end if;

end process;

end behave;

上述描述中的類屬n=20,妳根據自己的高頻脈沖頻率除以12MHz得到n後,將20替換為妳計算出來的數值(整數)就行了。

  • 上一篇:豆瓣評分top10書單,讀過其中幾本?
  • 下一篇:樂高積木加盟店掙錢嗎
  • copyright 2024編程學習大全網