當前位置:編程學習大全網 - 編程軟體 - 用quartus2軟件Verilog HDL語言怎麽實現正弦波的產生

用quartus2軟件Verilog HDL語言怎麽實現正弦波的產生

哈哈,簡單

首先 用matlab 做壹個周期的正弦函數,得到壹個周期的在每個角度的sin數據,然後將這些數據存到壹個mif文件中。

其次,在quartus II中執行如下步驟,將mif文件轉成rom存儲文件,這個文件就相當於rom,然後再寫個讀rom程序把數據讀出來,正弦函數就隨之度除了

mif轉rom步驟如下

quartus :

1 tools/megawizard pulg_in manager

2 creat a new.... ---->next

3 memory compiler/ rom 1-port ---> verilog hdl---->輸入文件名自己定----->next

4 根據要求選擇 rom位數 地址數 ---->next

5 q output port 根據左面圖和自己要求可選可不選 ---->next

6 選擇ye ,.... ,將mif文件 brows 進去 ,---->next

7--finish

  • 上一篇:智能格柵出現故障原因?
  • 下一篇:C語言,編程語言 格式錯誤,應該怎麽改呢 #include<stdio.h> #include<s
  • copyright 2024編程學習大全網