當前位置:編程學習大全網 - 編程軟體 - can't infer register for ENx because it changes value on both rising and falling edges of the clock

can't infer register for ENx because it changes value on both rising and falling edges of the clock

妳檢查下代碼,信號量ENx是不是壹直在變化?類似於妳這樣寫代碼:

process(clk,reset)

begin

if reset = '0' then

ENx <= '0';

elsif rising_edge(clk) then

ENx <= '1';

else

ENx <= '0';

end if;

end process;

對於上面的代碼,編譯器認為ENx信號在clk的上升沿和下降沿都在變化,是不允許的。妳可以將else中的語句拿掉即可(會產生鎖存器)。如果不希望產生鎖存器的話,需要在elsif中加其他清除代碼,註意不能再else中加。

  • 上一篇:這個必須等高手!!單片機定時器以及中斷 編程
  • 下一篇:快速提升自己提升自己能力和價值的有效方法的APP
  • copyright 2024編程學習大全網