當前位置:編程學習大全網 - 編程軟體 - Verilog編寫四人搶答器

Verilog編寫四人搶答器

module dj(a,b,c,d,clk,res,led1,led2,led3,led4);

input a,b,c,d;

input clk,res;

output led1,led2,led3,led4;

reg led1,led2,led3,led4;

reg k;

always @(clk)

begin

if(res==0)

begin

led1<=0;

led2<=0;

led3<=0;

led4<=0;

k<=0;

end

else

begin

if(k==0)

begin

if(a)

begin

led1<=1;

k<=1;

end

else

if(b)

begin

led2<=1;

k<=1;

end

else

if(c)

begin

led3<=1;

k<=1;

end

if(d)

begin

led4<=1;

k<=1;

end

end

end

end

endmodule

  • 上一篇:華為P7、榮耀6、vivo Xshot和oppo N3 哪款用著比較好?
  • 下一篇:Java開發學習的話,都要學什麽內容?
  • copyright 2024編程學習大全網