當前位置:編程學習大全網 - 編程語言 - PPM脈沖位置調制相關原理、實現方法、資料、文章、論文!

PPM脈沖位置調制相關原理、實現方法、資料、文章、論文!

基於FPGA的PPM基帶系統設計

開題報告

壹、 綜述

隨著數字通信技術的發展,數字化成為當今信息與通信技術發展的必然趨勢,也是信息化社會的基礎。數字通信的基帶傳輸方式是數字通信的最基本的傳輸方式,如利用中繼方式在長距離上直接傳輸pcm信號、用雙絞線進行局域網內的計算機數據傳輸等。這種不使用載波調制解調器設備或裝置而直接傳送基帶信號的系統,我們稱之為基帶傳輸系統。對於整個基帶系統來說,基帶信號的產生、復接、編碼,以及對接收端的基帶信號的處理是相當重要的。在數字基帶系統中脈沖調制是壹種重要的調制傳輸手段。將數字序列變換成脈沖序列***有三種基本方法:改變脈沖的幅度、位置和周期。相應的調制方法稱為脈沖幅度調制(Pulse-Amplitude Modulation),脈沖位置調制(Pulse Position Modulation),脈沖周期調制(Pulse-Duration Modulation).其中脈沖位置調制(PPM)是利用脈沖的相對位置來傳遞信息的壹種調制方式,最早由 Pierce JR提出並應用於空間通信。在光通信中,這種調制方式可以以最小的光平均功率達到最高的數據傳輸速率。PPM的優點在於:它僅需根據數據符號控制脈沖位置,不需要進行脈沖幅度和極性的控制,便於以較低的復雜度實現調制與解調,PPM特別適用於對潛通信和市內計算機紅外線通信等要求低平均功率傳輸信息的場合。PPM信號調制廣泛的應用於光通信、超寬帶移動通信等現代通信前沿技術領域。PPM信號的調制和接收對通信系統的性能起很大作用。

目前,利用EDA工具,采用可編程器件,通過設計芯片來實現系統功能的基於芯片的設計方法正逐步取代傳統的設計方法。現場可編程門陣列(Field Programmable Gate Array, FPGA)是在復雜可編程邏輯器件(Complex Programmable Logic Device, CPLD)的基礎上發展起來的新型高性能可編程邏輯器件。可以完成極其復雜的時序與組合邏輯電路功能,適用於高速、高密度的高端數字邏輯電路設計領域。具有規模大、開發過程投資小、可以反復編程擦除、開發工具智能化、功能強大等特點,符合可編程邏輯器件發展的需求。

在FPGA上實現的PPM調制解調系統與傳統實現方法相比提高了設計效率,並且提高了PPM調制解調系統的工作效率。

二、 研究內容

整個設計過程的主要內容是使用VHDL語言編程在FPGA上實現壹個PPM基帶系統,該系統能實現PPM信號產生、PPM信號解調等功能。主要使用Quartus II工具軟件編寫VHDL程序實現該PPM基帶系統,並下載程序,在可編程邏輯器件實驗板上進行測試。

PPM的原理是將壹段時間分成M等分,每等份稱為壹個時隙,在壹幀的時間內的某個時隙發出壹個脈沖。這壹幀時間就是壹個PPM信號,它包括M個時隙和壹個保護時間。設壹幀傳輸時間為T,那麽信息傳遞速率 bit/s

PPM調制與解調系統的主要功能如下:對輸入的數字信號進行調制得到窄脈沖PPM信號以便在信道上傳輸;接收端對接收到的PPM信號進行解調還原出數字信號。

PPM調制實際上就是壹個計數輸出脈沖的過程。時隙分頻器的分頻比由脈寬控制信號控制,幀分頻器對時隙信號計數,當計數值與調制數據相比較,當二者相等時就輸出脈沖,當計數值與調制的進制數相等時就輸出幀信號。輸出的PPM脈沖信號和幀信號經過輸出模塊輸出給解調器。同時時隙信號也輸出給解調器。 PPM解調器計數其對時隙信號計數,當出現PPM脈沖時就輸出計數值,幀信號的作用是對計數器清零。解調後的數據經過輸出模塊輸出。

三、 實現方法及預期目標

設計過程的PPM調制與解調系統模型結構如圖1所示。系統主要包括兩部分,調制部分:串並變換、二進制分頻器、比較器、窄脈沖形成器;解調部分:整形電路、時鐘提取電路、脈沖位置檢測電路、譯碼器。

圖1 PPM調制與解調系統原理結構

圖1中的串/並變換器相當於壹個二進制加法器。設輸入信號為a,兩位輸出信號為o1和o2。其a與a相加的功能見表1串/並變換的功能表

表1串/並變換的功能表

a

o1

o2

0

0

0

1

1

0

圖1中二進制分頻器是4分頻器,輸出高低2位二進制信號。比較器用於比較分頻器輸出的高位與加法器的高位,以及分頻器的低位與加法器的低位,當它們相同時則輸出“1”,否則為“0”。由於分頻器的2位輸出對應四種狀態(00、01、10、11),每種狀態是依次先後輸出的,即不同狀態對應不同的時間位置,而串並變換器輸出兩種狀態,且串並變換器輸出的兩種狀態(00,10)與分頻器的四種狀態(00、01、10、11)中的兩種狀態相同,因此,比較器只有在分頻器輸出兩種狀態(00、01)的情況下才輸出為“1”,其他情況輸出為“0”,又由於在分頻器的壹個分頻周期內指輸出四個不同的狀況,那麽在壹個分頻周期內,比較器指輸出壹個“1”脈沖。該脈沖的起始位置根據信碼的電平不同而變化。這樣就可得到隨信碼的電平不同輸出脈沖起始位置不同的輸出信息。脈沖形成器是通過壹個D觸發器對比較器的輸出信號進行壹個時鐘周期的延遲,並反相後再與比較器的輸出信號相與,即可得到窄脈沖PPM信號。解調部分:整形電路由D觸發器和反相器組成,其功能是對接收的PPM信號進行整形。脈沖位置檢測的功能是對信號進行倒相再利用高電平對時鐘進行計數,把信號01變換的位置檢測出來。再把最長脈沖和檢測出來的最短脈沖相加,得到信號真實跳變的位置信息。最後由譯碼器實現數據信號的高低電平跳變的信息轉換成電平變化的數據信號。

設計過程中最重要的是各個部分之間的連接設計。其中各個部件程序的編寫,防止信號出現毛刺所作的整形電路的實現是比較難的地方。因為PPM調制的主要原理相對簡單,重點就放在程序編寫和系統實現方面。

整個設計過程的軟件環境為Altera公司的Quartus? II軟件。並采用硬件描述語言VHDL編寫程序。Altera? Quartus? II 設計軟件提供完整的多平臺設計環境,能夠直接滿足特定設計需要,為可編程芯片系統(SOPC) 提供全面的設計環境。QuartusⅡ軟件包是MAX+plusⅡ的升級版本,Altera公司的第四代開發軟件。QuartusⅡ提供了方便的設計輸入方式、快速的編譯和直接易懂的器件編程。

參考文獻

[1] 求實科技.CPLD/FPGA應用開發技術與工程實踐.北京:人民郵電出版社,2005

[2] 任愛鋒等.基於FPGA的嵌入式系統設計.西安:西安電子科技大學出版社,2004

[3] 付用慶.VHDL語言及其應用.北京:高等教育出版社,2005

[4] 北京百科融創科技有限公司.SOPC實驗指導書.北京:2005

[5] 段吉海等. 基於CPLD/FPGA的數字通信系統建模與設計.北京:電子工業出版,2005

[6] 億特科技.CPLD/FPGA. 應用系統設計與產品開發.北京:人民郵電出版社

[7] [意]Maria-Gabriella Di Benedetto Guerino Giancola. 超寬帶無線電基礎.北京:電子工業出版社,2005

  • 上一篇:系統時序基礎理論
  • 下一篇:康佳手機解鎖碼
  • copyright 2024編程學習大全網