當前位置:編程學習大全網 - 電腦編程 - VHDL 語言編程,檢測兩個周期信號是否同時達到上升沿或者下降沿變化

VHDL 語言編程,檢測兩個周期信號是否同時達到上升沿或者下降沿變化

是的,在壹個process中不能檢測兩個上升沿變化

可以用壹個中間變量記錄上壹次的值就可以了,例如:

if(clk11='0' AND clk1='1') then --檢測上升沿

clk11<=clk1;

  • 上一篇:怎樣才能把程序編入到數控車床上去
  • 下一篇:豫章小學光明校區怎麽樣
  • copyright 2024編程學習大全網