當前位置:編程學習大全網 - 電腦編程 - 請分析下列用VHDL語言編寫的組合邏輯電路,指明各引腳的作用和該電路實現的功能。

請分析下列用VHDL語言編寫的組合邏輯電路,指明各引腳的作用和該電路實現的功能。

答案:2-4譯碼器,e為選片信號,低電平有效;a1、a0為兩位二進制碼輸入,q3~q0為4位譯碼輸出信號。$四選壹選擇器,e表示選通端,a1、a0表示地址輸入端,d3~d0表示四個數據輸入端,f為選通輸出端。

  • 上一篇:物流規劃的重要性
  • 下一篇:中國人為什麽做不出自己的操作系統
  • copyright 2024編程學習大全網