當前位置:編程學習大全網 - 電腦編程 - 用vhdl 語言設計 序列信號發生器

用vhdl 語言設計 序列信號發生器

10110101序列信號發生器.vhd library IEEE; use IEEE.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity count8 is port ( r: in std_logic; clk: in STD_LOGIC; cout: out std_logic ); end count8; architecture count8_arch of count8 is signal dd: std_logic_vector ( 2 downto 0 ); begin count: process ( r,clk ) begin if ( r='1' ) then dd<="000"; elsif( clk'event and clk = '1') then dd <= dd + '1'; end if ; end process count ; with dd select cout<='1'when"000", '0'when"001", '1'when"010", '1'when"011", '0'when"100", '1'when"101", '0'when"110", '1'when"111", '0'when others ;

  • 上一篇:前綴“鹿”下面的“幾”字是什麽?
  • 下一篇:跪求 UG_NX_8.0鈑金設計教程(典藏版),幫忙找壹下書籍百度網盤資源唄!
  • copyright 2024編程學習大全網