當前位置:編程學習大全網 - 電腦編程 - vHdl是什麽

vHdl是什麽

VHDL的全稱是Very-High-Speed Integrated Circuit Hardware Description Language,是壹種用於設計硬件系統的描述語言。

VHDL看起來與軟件編程語言有些相似,但本質上有很大不同。軟件編程語言是順序結構的,而硬件描述語言卻是並行的,即使VHDL設計了順序語句,從設計思想上來講,仍然是並行設計思維。這是因為實際上硬件電路中的各個模塊確實是同時工作的。

  • 上一篇:利達多線盤啟動後如何關閉?
  • 下一篇:我初三的學生,馬上就要暑假了。 平時沒有什麽愛好,除了玩玩電腦。 我想要幹點正事,想要自學電腦知識。
  • copyright 2024編程學習大全網