當前位置:編程學習大全網 - 網絡軟體 - 用Verilog HDL編程設計8位左右移移位寄存器電路。

用Verilog HDL編程設計8位左右移移位寄存器電路。

module Verilog1(clk,ldn,k,d,q);

input clk,ldn,k;

input [7:0] d;

output [7:0] q;

reg[7:0] d_reg,q_reg;

always@(negedge ldn)

if(!ldn)

d_reg <= d;

always@(posedge clk )

begin

if(k)

begin//right

q_reg[7:0] <= {1'b00,d_reg[7:1]};

end

else q_reg[7:0] <= {d_reg[6:0],1'b0};

end

assign q = q_reg;

endmodule

  • 上一篇:畢業季到了,穿什麽拍畢業照最好看?
  • 下一篇:microsoft office 2010綠色版什麽意思
  • copyright 2024編程學習大全網