當前位置:編程學習大全網 - 網站源碼 - verilog實現矩陣乘法,我是FPGA初學者, 現在要實現壹個矩陣相乘,即輸入矩陣A, 矩陣B, 輸出矩陣c=A*B

verilog實現矩陣乘法,我是FPGA初學者, 現在要實現壹個矩陣相乘,即輸入矩陣A, 矩陣B, 輸出矩陣c=A*B

1把兩個矩陣存進存儲單元(寄存器),是怎樣的時鐘去寫入數據的

如果A B是變量,數據就需要寫入存儲器而不是初始化進去,寫入遵循存儲器寫入時序。

2 如何取出數據進行乘法運算並累加,看到常用的是脈動陣列,但是我不是很懂

提供矩陣元素的地址,從存儲器中讀出,脈動陣列就是流水線結構。

3.在軟件編程中利用的是for循環,在用verilog實現時,是設置壹個標誌位來決定運算什麽時候結束嗎?

硬件設計的思維方式和軟件設計的不同,軟件中的for是循環利用循環體內的代碼執行,硬件中的for要實實在在的硬件電路來實現的。

我的思維比較混亂,求大家給我指點指點~

思維的確混亂,概念不清楚,還是打好基礎的好:)

  • 上一篇:請問CS裏面怎樣將sma文件轉換成amxx啊?
  • 下一篇:5700的ng平臺破解
  • copyright 2024編程學習大全網