當前位置:編程學習大全網 - 網站源碼 - 請問學習fpga,請問黑金的板子好還是zrtech的好?請用過的朋友回答壹下,不要粘貼復制。謝謝了!!!

請問學習fpga,請問黑金的板子好還是zrtech的好?請用過的朋友回答壹下,不要粘貼復制。謝謝了!!!

我覺得用過的人才有發言權。我買的zrtech的開發板,撇開板子本身的質量不說,我們很多人買板子的目的是快速入門,能後從實踐中融會貫通,但zrtech板子的資料太少了,只有最基本的例程代碼,代碼也有很多錯誤的,還都是文檔資料,沒有視頻教程,網上找的都是黑金或者特權學習板的視頻教程,所以我認為zrtech不適合入門者使用。

  • 上一篇:在群裏聊天怎麽讓別人艾特我時他發的字是左右相反的?
  • 下一篇:用C語言對壹維數組排序,並輸出已排好元素的原來位置
  • copyright 2024編程學習大全網