當前位置:編程學習大全網 - 遊戲軟體 - 使用Verilog如何設計壹個上升沿檢測器?

使用Verilog如何設計壹個上升沿檢測器?

Posedge用來作為時鐘使用的。相當於觸發器的時鐘輸入端。

要檢測信號上升沿的話,可以采用以下方法:

always@(posedge clk or negedge rst)

if(!rst)

begin sign_1b <= 1'b0; sign_2b <= 1'b0; end

else

begin sign_1b <= sign; sign_2b <= sign_1b; end

always@(posedge clk or negedge rst)

if(!rst)

sign_pos <= 1'b0;

else if (sign_2b && !sign_1b)

sign_pos <= 1'b1;

else

sign_pos <= 1'b0;

  • 上一篇:2016iem世界總決賽rng贏了嗎
  • 下一篇:感嘆時光流逝飛快的詩句
  • copyright 2024編程學習大全網