當前位置:編程學習大全網 - 編程語言 - CPLD和FPGA在應用上有什麽不同?

CPLD和FPGA在應用上有什麽不同?

FPGA是現場可編程邏輯門陣列的簡稱,是電子設計的壹個裏程碑。CPLD是復雜可變成邏輯器件的簡稱。盡管FPGA和CPLD都是可編程ASIC器件,有很多***同特點,但由於CPLD和FPGA結構上的差異,具有各自的特點:

1)、CPLD更適合完成各種算法和組合邏輯,FP GA更適合於完成時序邏輯。換句話說,FPGA更適合於觸發器豐富的結構,而CPLD更適合於觸發器有限而乘積項豐富的結構。

2)、CPLD的連續式布線結構決定了它的時序延遲是均勻的和可預測的,而FPGA的分段式布線結構決定了其延遲的不可預測性。

3)、在編程上FPGA比CPLD具有更大的靈活性。CPLD通過修改具有固定內連電路的邏輯功能來編程,FPGA主要通過改變內部連線的布線來編程;FP GA可在邏輯門下編程,而CPLD是在邏輯塊下編程。

4)、FPGA的集成度比CPLD高,具有更復雜的布線結構和邏輯實現。

5)、CPLD比FPGA使用起來更方便。CPLD的編程采用E2PROM或FASTFLASH技術,無需外部存儲器芯片,使用簡單。而FPGA的編程信息需存放在外部存儲器上,使用方法復雜。

6)、CPLD的速度比FPGA快,並且具有較大的時間可預測性。這是由於FPGA是門級編程,並且CLB之間采用分布式互聯,而CPLD是邏輯塊級編程,並且其邏輯塊之間的互聯是集總式的。

7)、在編程方式上,CPLD主要是基於EEPROM或FLASH存儲器編程,編程次數可達1萬次,優點是系統斷電時編程信息也不丟失。CPLD又可分為在編程器上編程和在系統編程兩類。FPGA大部分是基於SRAM編程,編程信息在系統斷電時丟失,每次上電時,需從器件外部將編程數據重新寫入SRAM中。其優點是可以編程任意次,可在工作中快速編程,從而實現板級和系統級的動態配置。

8)、CPLD保密性好,FPGA保密性差。

9)、壹般情況下,CPLD的功耗要比FPGA大,且集成度越高越明顯。

隨著FPGA門數以及性能的提高,可以將現在的許多數字電路部分下載到FPGA上,實現硬件的軟件化,包括51核,DSP核以及其他的壹些數字模塊,到最後壹個系統板子就剩下電源、模擬電路部分,接口部分以及壹塊FPGA。FPGA可以說是芯片級的PCB板,在壹個芯片裏設計原來的電子系統的所有數字電路部分。現在也有將ARM核嵌入到FPGA裏面的,比如Altera公司的Nois核。Nois是壹個軟核,是有軟件編寫的壹個32位處理器,並不是硬件上存在的處理核,該核工作頻率為50HZ,現在用於許多圖像處理以及其他的很多網絡設備。利用鎖相環技術可以將頻率成倍提升,壹般的ARM核是將鎖相環做到芯片裏面的,在變成的時候可以對某個寄存器進行設置從而達到分頻和倍頻的目的。而將DSP核嵌入到FPGA裏面去實現強大的計算功能是Altera公司近期推出的壹系列芯片的壹個優點。Altera公司的Stratix II系列芯片采用內嵌的DSP核,但是其DSP核的計算速度比現在業界上最快的DSP芯片還要快幾個數量級。

關於編程方式,目前的 CPLD主要是基於E2 PROM或 FLASH存儲器編程 ,編程次數達 1萬次。其優點是在系統斷電後 ,編程信息不丟失。CPLD又可分為在編程器上編程和在系統編程 (ISP) CPLD兩種。 ISP器件的優點是不需要編程器 ,可先將器件裝焊於印制板 ,再經過編程電纜進行編程,編程、調試和維護都很方便。FPGA大部分是基於 SRAM編程 ,其缺點是編程數據信息在系統斷電時丟失 ,每次上電時 ,需從器件的外部存儲器或計算機中將編程數據寫入 SRAM中。其優點是可進行任意次數的編程,並可在工作中快速編程 ,實現

  • 上一篇:鍵盤上tap鍵是什麽意思?有什麽用?
  • 下一篇:2021-10-12順流回應力第二課:走出迷宮迎接順流人生
  • copyright 2024編程學習大全網