當前位置:編程學習大全網 - 編程語言 - 請教高手,用單片機向FPGA送數據,同時FPGA把數據反饋到單片機的程序?單片機用c語言。

請教高手,用單片機向FPGA送數據,同時FPGA把數據反饋到單片機的程序?單片機用c語言。

FPGA和單片機串行通信接口的實現

發布日期:2005-10-08 作者:杜曉斌 陳興文 來源:微計算機信息

摘要:本文針對由FPGA構成的高速數據采集系統數據處理能力弱的問題,提出FPGA與單片機實現數據串行通信的解決方案。在通信過程中完全遵守RS232協議,具有較強的通用性和推廣價值。

關鍵詞:FPGA; 單片機; 串行通信; RS232

1 前言

現場可編程邏輯器件(FPGA)在高速采集系統中的應用越來越廣,由於FPGA對采集到的數據的處理能力比較差,故需要將其采集到的數據送到其他CPU系統來實現數據的處理功能,這就使FPGA系統與其他CPU系統之間的數據通信提到日程上,得到人們的急切關註。本文介紹利用VHDL語言實現 FPGA與單片機的串口異步通信電路。

整個設計采用模塊化的設計思想,可分為四個模塊:FPGA數據發送模塊,FPGA波特率發生控制模塊,FPGA總體接口模塊以及單片機數據接收模塊。本文著重對FPGA數據發送模塊實現進行說明。

2 FPGA數據發送模塊的設計

根據RS232 異步串行通信來的幀格式,在FPGA發送模塊中采用的每壹幀格式為:1位開始位+8位數據位+1位奇校驗位+1位停止位,波特率為2400。本系統設計的是將壹個16位的數據封裝成高位幀和低位幀兩個幀進行發送,先發送低位幀,再發送高位幀,在傳輸數據時,加上文件頭和數據長度,文件頭用555555來表示,只有單片機收到555555時,才將下面傳輸的數據長度和數據位進行接收,並進行奇校驗位的檢驗,正確就對收到的數據進行存儲處理功能,數據長度可以根據需要任意改變。由設置的波特率可以算出分頻系數,具體算法為分頻系數X=CLK/(BOUND*2)。可由此式算出所需的任意波特率。下面是實現上述功能的VHDL源程序。

Library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity atel2_bin is

port( txclk: in std_logic; --2400Hz的波特率時鐘

reset: in std_logic; --復位信號

din: in std_logic_vector(15 downto 0); --發送的數據

start: in std_logic; --允許傳輸信號

sout: out std_logic --串行輸出端口

);

end atel2_bin;

architecture behav of atel2_bin is

signal thr,len: std_logic_vector(15 downto 0);

signal txcnt_r: std_logic_vector(2 downto 0);

signal sout1: std_logic;

signal cou: integer:=0;

signal oddb:std_logic;

type s is(start1,start2,shift1,shift2,odd1,odd2,stop1,stop2);

signal state:s:=start1;

begin

process(txclk)

begin

if rising_edge(txclk) then

if cou<3 then thr<="0000000001010101"; --發送的文件頭

elsif cou=3 then

thr<="0000000000000010"; --發送的文件長度

elsif (cou>3 and state=stop2) then thr<=din;--發送的數據

end if;

end if;

end process;

process(reset,txclk)

variable tsr,tsr1,oddb1,oddb2: std_logic_vector(7 downto 0);

begin

if reset='1' then

txcnt_r<=(others=>'0');

sout1<='1';

state<=start1;

cou<=0;

elsif txclk'event and txclk='1' then

case state is

when start1=>

if start='1' then

if cou=3 then

len<=thr;

end if;

tsr:=thr(7 downto 0);

oddb1:=thr(7 downto 0);

sout1<='0'; --起始位

txcnt_r<=(others=>'0');

state<=shift1;

else

state<=start1;

end if;

when shift1=>

oddb<=oddb1(7) xor oddb1(6) xor oddb1(5) xor oddb1(4) xor oddb1(3) xor oddb1(2) xor oddb1(1) xor oddb1(0);

sout1<=tsr(0); --數據位

tsr(6 downto 0):=tsr(7 downto 1);

tsr(7):='0';

txcnt_r<=txcnt_r+1;

if (txcnt_r=7) then

state<=odd1;cou<=cou+1;

end if;

when odd1=> --奇校驗位

if oddb='1' then

sout1<='0';state<=stop1;

else

sout1<='1';state<=stop1;

end if;

when stop1=>

sout1<='1'; --停止位

if cou<4 then

state<=start1;

else

state<=start2;

end if;

when start2=>

tsr1:=thr(15 downto 8);

oddb2:=thr(15 downto 8);

sout1<='0'; --起始位

txcnt_r<=(others=>'0');

state<=shift2;

when shift2=>

oddb<=oddb2(7) xor oddb2(6) xor oddb2(5) xor oddb2(4) xor oddb2(3) xor oddb2(2) xor oddb2(1) xor oddb2(0);

sout1<=tsr1(0);--數據位

tsr1(6 downto 0):=tsr1(7 downto 1);

tsr1(7):='0';

txcnt_r<=txcnt_r+1;

if (txcnt_r=7) then

state<=odd2;

end if;

when odd2=> --奇校驗位

if oddb='1' then

sout1<='0';state<=stop2;

else

sout1<='1';state<=stop2;

end if;

when stop2=>

sout1<='1'; --停止位

if len="0000000000000000" then

state<=stop2;

else

state<=start1;

len<=len-1;

end if;

end case;

end if;

end process;

sout<=sout1;

end behav;

......

===============================

另外回答樓下的問題,第壹,到這裏來回答問題本來就是出於壹中幫助別人快樂自己的心態。第二,具體實現根據硬件的不同寫軟件肯定也不壹樣,樓主沒有給出具體硬件電路;第三,即使給出了,到這裏來提問,妳說哪個願意花兩天時間來免費為妳提供這個工程?妳願意?誰幫我解決實際工程問題,不要說50分,5000分我也給O(∩_∩)O

  • 上一篇:趙永生的科研信息
  • 下一篇:什麽叫機電壹體化專業介紹
  • copyright 2024編程學習大全網